Pulse–time‐modulated electron cyclotron resonance plasma discharge for highly selective, highly anisotropic, and charge‐free etching

S Samukawa, H Ohtake, T Mieno - … of Vacuum Science & Technology A …, 1996 - pubs.aip.org
Highly selective, highly anisotropic, notch‐free, and charge‐buildup damage‐free silicon
etching is performed using electron cyclotron resonance (ECR) Cl 2 plasma modulated at a …

Charge‐free etching process using positive and negative ions in pulse‐time modulated electron cyclotron resonance plasma with low‐frequency bias

H Ohtake, S Samukawa - Applied physics letters, 1996 - pubs.aip.org
Charge build‐up on a substrate is greatly reduced by using a pulse‐time modulated electron
cyclotron resonance generated plasma of more than 50 μs with 600 kHz radio frequency (…

SiN etching characteristics of Ar/CH3F/O2 plasma and dependence on SiN film density

H Ohtake, T Wanifuchi, M Sasaki - Japanese Journal of Applied …, 2016 - iopscience.iop.org
We evaluated the silicon nitride (SiN) etching characteristics of Ar/O 2/hydrofluorocarbon
plasma. Ar/CH 3 F/O 2 plasma achieved a high etching selectivity of SiN to SiO 2 by increasing …

Prediction of UV spectra and UV-radiation damage in actual plasma etching processes using on-wafer monitoring technique

B Jinnai, S Fukuda, H Ohtake… - Journal of Applied Physics, 2010 - pubs.aip.org
UV radiation during plasma processing affects the surface of materials. Nevertheless, the
interaction of UV photons with surface is not clearly understood because of the difficulty in …

Characterization of CO2 plasma ashing for less low-dielectric-constant film damage

Y Susa, H Ohtake, Z Jianping, L Chen… - Journal of Vacuum …, 2015 - pubs.aip.org
The mechanism of CO 2 plasma ashing process was evaluated. CO 2 plasma is a good
candidate for the ashing process for photoresists because it generates a lot of CO 2 ions. These …

Two-dimensional self-consistent simulation of a DC magnetron discharge

E Shidoji, H Ohtake, N Nakano… - Japanese journal of …, 1999 - iopscience.iop.org
A two-dimensional simulation of dc magnetron discharge is performed by a hybrid of fluid and
particle models. In this hybrid model, ions and bulk electrons are treated by the fluid model …

Feasibility study of 45-nm-node scaled-down Cu interconnects with molecular-pore-stacking (MPS) SiOCH films

M Tada, H Ohtake, F Ito, M Narihiro… - IEEE transactions on …, 2007 - ieeexplore.ieee.org
A feasibility study was done for 45-nm-node Cu interconnects using a novel molecular-pore-stacking
(MPS) SiOCH film (k = 2.45), taking electron scattering in the scaled-down Cu lines …

Photochemically stabilized formulation of dacarbazine with reduced production of algogenic photodegradants

A Uchida, H Ohtake, Y Suzuki, H Sato, Y Seto… - International Journal of …, 2019 - Elsevier
The present study aimed to develop a photochemically stabilized formulation of dacarbazine
[5-(3,3-dimethyl-1-triazeno)imidazole-4-carboxamide; DTIC] for reducing the production of …

Robust Cu dual damascene interconnects with porous SiOCH films fabricated by low-damage multi-hard-mask etching technology

H Ohtake, M Tagami, M Tada, M Ueki… - IEEE transactions on …, 2006 - ieeexplore.ieee.org
Low-damage hard-mask (HM) plasma-etching technology for porous SiOCH film (k=2.6)
has been developed for robust 65-nm-node Cu dual damascene interconnects (DDIs). No …

Low-damage low-k etching with an environmentally friendly CF3I plasma

…, S Saito, Y Ichihashi, A Sato, H Ohtake… - Journal of Vacuum …, 2008 - pubs.aip.org
The feasibility of etching Cu/low-k interconnects by using a low global warming potential CF
3 I plasma was studied. Low-damage etching was done and porous SiOC (⁠ p-Si OC⁠, k< …